научная статья по теме МЕТОД ПАРАЛЛЕЛЬНО-ПОСЛЕДОВАТЕЛЬНОГО ДЕТЕКТИРОВАНИЯ ЭЛЕМЕНТОВ ИЗОБРАЖЕНИЯ В СИСТЕМАХ ТЕХНИЧЕСКОГО ЗРЕНИЯ Кибернетика

Текст научной статьи на тему «МЕТОД ПАРАЛЛЕЛЬНО-ПОСЛЕДОВАТЕЛЬНОГО ДЕТЕКТИРОВАНИЯ ЭЛЕМЕНТОВ ИЗОБРАЖЕНИЯ В СИСТЕМАХ ТЕХНИЧЕСКОГО ЗРЕНИЯ»

ной реализации на специализированном устройстве. В каждом из этих способов есть свои достоинства и недостатки.

Простейшим вариантом создания устройства для обработки зрительных данных является прямое соединение видеодатчика с универсальной ЭВМ, поддерживающей такое соединение. Это решение достаточно дорого, но удобно на научно-исследовательской стадии проекта. Захват и обработка видеоданных с большим разрешением ограничен быстродействием процессора ЭВМ ввиду больших накладных расходов системной части программного обеспечения. Поэтому использование универсального процессора общего назначения при решении задач пиксельного детектирования свойств зачастую невозможно, так как большую часть времени такой процессор тратит на организацию собственной работы (выборку команд, данных из памяти). С позиции быстродействия и экономических показателей здесь желательно применение специальных аппаратных средств. Первый шаг на этом пути - уменьшение нагрузки на центральный процессор путем выделения операций первичной обработки видеоданных в отдельное устройство. Это направление породило целый класс цифровых сигнальных процессоров, специализированных для работы с видеодатчиками. В этом случае требуется схемотехническая реализация несложной платы обрамления ЦСП и его сопряжения с видеокамерой и каналом выходных данных (рис.1). Однако и в этом случае остаются проблемы аппаратной избыточности универсальных решений и быстродействия при работе с видеокадрами высокого разрешения.

Наиболее эффективное, но, вместе с тем и наиболее трудоемкое решение предполагает создание устройства, выполняющего нужные алгоритмы первичного детектирования. В наиболее простом варианте такое устройство представляет собой комбинационную схему, реализованную на интегральных схемах логических элементов. В более сложной реализации оно представляет собой автомат с элементами памяти и регистровой арифметики. Устройства такого типа наиболее целесообразны в случае крупносерийной реализации отработанных логических и схемотехнических решений и обычно выполняются в виде специализированной интегральной микросхемы.

Развитие элементной базы микросхем, направленное на "замену паяльника микропрограммой", в свою очередь привело к созданию ПЛИС - универсального средства для реализации комбинационных схем со сложной логикой. С использованием этой микросхемы решаются проблемы удешевления разработок, уменьшения их трудоёмкости и главное - упрощения алгоритмической отладки системы в целом. Вместе с тем ПЛИС обеспечивает преимущественно комбинационное преобразо-

Фильтры

Рис. 1. Пример устройства ввода и первичной обработки видеоданных на базе ЦСП. Я, О, В - соответственно красный, зелёный и синий светофильтры, ПЗС - прибор с зарядовой связью, АЦП - аналого-цифровой преобразователь.

вание входных данных с небольшой их предварительной буферизацией, в то время как обработка видеоданных может представлять собой автоматное преобразование с большим количеством состояний. Требуемая функция памяти состояния алгоритма и логика выполняемого им преобразования тесно переплетены. Поэтому только ПЛИС недостаточно для аппаратной реализации алгоритма детектирования видеосигнала. Но, как показано выше, программно-аппаратное исполнение этого алгоритма на ЦСП хотя и возможно, но имеет недостатки.

Учитывая это, представляется, что удачным схемотехническим решением выступает симбиоз комбинационно-логического потенциала ПЛИС и автоматно-логических возможностей ЦСП. В этом случае необходимо решить задачу оптимального выделения комбинационной составляющей на множестве алгоритмов детектирования элементов видеоизображений. С этой точки зрения ниже рассматриваются как особенности устройства ПЛИС и ЦСП, так и специфика устройства алгоритмов детектирования видеоданных.

2. Критерии разложения алгоритма на аппаратную и программную части. Оптимальное разделение любого алгоритма на два упомянутых способа исполнения представляет собой пример многокритериальной задачи. Критерии стоимости, срока разработки, быстродействия детектирования, робаст-ности и др. являются, как правило, противоречивыми и одновременно не улучшаемыми. Поэтому наиболее доступным способом служит выбор единственного критерия оптимальности при остальных заданных критериальных ограничениях.

В современных условиях существуют написанные и отлаженные на языке С алгоритмы детекторов простых элементов изображения. Но не все из них можно реализовать в реальном времени программно. Например, при обработке изображений одной из наиболее часто используемых является операция фильтрации, выполняемая фильтром с ко-

операций/c

х 109, 16

14 12 10 8 6 4 2 0

2 4 6 8 10 12 14 16 18 20

W

Рис. 2. Зависимость количества операций умножения с накоплением в секунду от размера КИХ-фильтра при фильтрации видеоизображения разрешением 1280 х1024 точки с частотой 30 кадров в секунду.

нечной импульсной характеристикой (КИХ фильтром) [1]. На рис. 2 показана зависимость количества операций умножения с накоплением в секунду от размера фильтра Ж при обработке видеоизображения разрешением 1280 х 1024 с частотой 30 кадров в секунду. Видно, что даже при небольших размерах фильтра тактовая частота вычислительного модуля должна быть не менее 1 ГГц. Сигнальные

процессоры, работающие на таких частотах, стоят достаточно дорого. Это диктует внедрение средств параллельного исполнения составляющих алгоритма там, где это возможно. В соответствии с изложенными соображениями в работе основной целью при анализе разбиения алгоритма на комбинационную и автоматную части считается достижение максимального быстродействия при ограничении стоимости устройства реализации алгоритмов детектирования (один ЦСП и одна ПЛИС).

3. Особенности устройства ПЛИС и ЦСП.

ПЛИС представляет собой большой массив логических элементов (ЛЭ) с программируемыми логическими функциями и с программируемыми соединениями между ними [2]. Важным свойством этих микросхем является гибкость в организации аппаратных связей в момент разработки устройства (можно заложить оптимальную для конкретного алгоритма аппаратную структуру). В то же время структура ЛЭ, аппаратно заложенная в ПЛИС, в процессе ее эксплуатации будет фиксирована и динамически неизменяема. В этой микросхеме удобно организовывать логически простые и слабо зависящие от входных данных операции. Однако с помощью ПЛИС можно добиться высоко параллельного и конвейерного исполнения тех частей алгоритмов, которые это допускают.

Внутренняя структура микросхемы состоит из массива логических блоков (ЛБ), используемых для построения логических схем (рис. 3). Каждый ЛБ включает несколько ЛЭ, которые в свою очередь содержат логическую таблицу (ЛТ), определяющую логическую функцию ЛЭ, и триггер, осуществляющий функцию элемента памяти (рис. 4). Все связи между ЛЭ обеспечиваются при помощи программируемой матрицы соединений (ПМС). Конкретная функция ПЛИС задается записью в ее оперативном запоминающем устройстве (ОЗУ)

Установка

Вход 1

Разрешение

Триггер

D S

CLK Q Выход

ENA R

Сброс

Рис. 3. Обобщенная внутренняя структура ПЛИС.

П - ЛБ, Щ - входы/выходы, рица соединений.

- глобальная мат-

Рис. 4. Упрощенная блок-схема ЛЭ ПЛИС. Латинскими буквами обозначены входы и выходы триггера: D - вход данных, CLK - тактирующий вход, ENA -разрешающий запись вход, S - вход асинхронной установки, R - вход асинхронного сброса, Q - выход.

Рис. 5. Гарвардская расширенная архитектура ЦСП компании Analog Devices Inc. ЦПУ - центральное процессорное устройство. Кэш команд - сверхоперативная память команд.

управляющего массива, каждый бит которого управляет внутренними мультиплексорами, устанавливающими направление передачи сигналов, и конкретизирует функции ЛТ элементов.

В свою очередь ЦСП - мощное вычислительно-логическое средство последовательной обработки входных цифровых сигналов [3]. ЦСП оптимизирован для реализации повторяющихся математических операций, таких, как умножение с накоплением данных. В ЦСП реализованы пять основных функций повышения производительности при обработке сигналов:

быстрое выполнение арифметических операций,

повышенная разрядность представления данных операндов,

возможность одновременной выборки двух операндов,

поддержка циклических буферов, организация циклов с автоматической проверкой условия завершения цикла.

Всё это представляет богатые возможности осуществления в темпе реального времени сложных алгоритмов распознавания сцен и отслеживания найденных объектов в потоке видеоданных.

На рис. 5 рассмотрена модифицированная "гарвардская архитектура" ЦСП компании Analog De-

vices Inc., когда и команды, и данные могут быть сосредоточены в памяти программ. Например, при реализации цифрового фильтра его коэффициенты могут храниться в памяти программы, а значения данных - в памяти данных. Поэтому коэффициенты и значения данных выбираются в одном машинном цикле. Для увеличения скорости обмена данными из памяти программ используется кэш команд, в котором буферизуется часть исполняемого кода. Важным свойством ЦСП, определяющим его функциональное место в системе обработки изображений, которая состоит из ПЛИС и ЦСП, является возможность реализации только строго последовательных (хотя возможно - многопроходных) алгоритмов.

4. Особенности устройства алгоритмов обработки изображения. 4.1. Об одном общем свойстве первичных детекторов элементов изображения. Ниже под термином "детектор" (точнее, "детектор элементов изображения") понимается алгоритм обработки цифрового вектора пиксельных данных, выход которого представляет сигнал наличия в обрабатываемом входном векторе выделяемого алгоритмом элемента изображения с возможной параметрической его окраской [4]. Примером может служить детектор отрезков прямой линии в векторе фрагмента изображения сцены с указанием его ориентации. Для анализа возможностей и поиска способов реализации детекторов, широко используемых в практике анализа изображений, на элементной базе микросхем ПЛИС-ЦСП следует рассмотреть свойства их алгоритмических типов.

Прежде всего заметим, что все известные из литературы детекторы

Для дальнейшего прочтения статьи необходимо приобрести полный текст. Статьи высылаются в формате PDF на указанную при оплате почту. Время доставки составляет менее 10 минут. Стоимость одной статьи — 150 рублей.

Показать целиком