научная статья по теме МОДЕЛИРОВАНИЕ ФОРМИРОВАНИЯ ГЛУБОКИХ КАНАВОК В КРЕМНИИ В ПЛАЗМОХИМИЧЕСКОМ ЦИКЛИЧЕСКОМ ПРОЦЕССЕ “ТРАВЛЕНИЕ–ПАССИВАЦИЯ” Электроника. Радиотехника

Текст научной статьи на тему «МОДЕЛИРОВАНИЕ ФОРМИРОВАНИЯ ГЛУБОКИХ КАНАВОК В КРЕМНИИ В ПЛАЗМОХИМИЧЕСКОМ ЦИКЛИЧЕСКОМ ПРОЦЕССЕ “ТРАВЛЕНИЕ–ПАССИВАЦИЯ”»

МИКРОЭЛЕКТРОНИКА, 2007, том 36, № 4, с. 277-287

ПЛАЗМОХИМИЧЕСКОЕ ТРАВЛЕНИЕ

УДК 621.382

МОДЕЛИРОВАНИЕ ФОРМИРОВАНИЯ ГЛУБОКИХ КАНАВОК В КРЕМНИИ В ПЛАЗМОХИМИЧЕСКОМ ЦИКЛИЧЕСКОМ ПРОЦЕССЕ

"ТРАВЛЕНИЕ-ПАССИВАЦИЯ"

© 2007 г. А. С. Шумилов, И. И. Амиров

Институт микроэлектроники и информатики Российской АН, Ildamirov@yandex.ru Поступила в редакцию 26.12.2006 г.

Описан новый гибридный 2 1/2-мерный метод моделирования травления глубоких канавок в Si в циклическом, двухстадийном травление/пассивация процессе в плазме SF6/C4F8. Метод основан на Монте-Карло моделировании потоков частиц плазмы и клеточно-струнной модели представления профиля. Приведена модель изотропного травления Si в плазме SF6 и ионно-стимулированного травления и осаждения полимерной пленки в плазме С^. Результаты моделирования глубоких, с высоким аспектным отношением (А > 20) канавок субмикронной ширины хорошо совпадают с экспериментальными данными.

ВВЕДЕНИЕ

Плазмохимические процессы формирования кремниевых микроструктур с высоким аспектным отношением широко используются в технологии микросистемной техники. Они осуществляются в плазме сложного состава SFg/C4F8 или SFg/O2 в циклическом двухстадийном травление/пассивация процессе (Бош-процесс) [1-3]. Характеристики процесса зависят от многих параметров, и поэтому при их разработке моделирование процессов является необходимым элементом исследования, так как способствует, в первую очередь, правильности понимания механизма формирования структур.

Моделирование гетерогенной стадии процесса формирования микроструктур в плазме осуществляется в основном тремя методами. Это струнный метод [4-6], клеточный [7-9], а также метод характеристик, развитием которого является метод уровня (level set) [10-12]. Они использовались при моделировании травления канавок в Si в плазме CF4, Cl2, SF6 [7, 9, 10, 12] и SiO2 во фто-руглеродной плазме [4, 8, 11]. Level set метод считается наиболее точным при моделировании топологии формируемых структур [13], так как он определяется из аналитического решения уравнения движения их начального фронта. Можно отметить только, что его алгоритм и программная реализация метода довольно сложна, особенно при моделировании процессов травления с участием нескольких материалов. Этим можно объяснить отсутствие подробной такой модели формирования микроструктур в Бош-процессе. В работах [5, 6, 11] приведена струнная и level set модели формирования глубокой канавки в Si, которые качественно описывали особенности профиля канавки с аспектным отношением <10. По-

добные результаты были получены при моделировании профиля травления микроструктур в плазме SF6/C4F8 гибридным струнно-клеточным методом [14]. Совмещение струнного и клеточного методов с клеточной подложкой позволило более точно моделировать профиль канавки травления с учетом разных материалов, что невозможно было сделать, используя только струнный метод. Однако модель в данной работе является сильно упрощенной. Там не проводился расчет потока частиц на дно канавки с изменением ее аспектного отношения, хотя при глубоком травлении Si поступление активных радикалов на дно канавки является лимитирующей стадией [5, 15]. С этой точки зрения, работа [7], в которой проведено моделирование процесса травления Si в Cl2 плазме с использованием гибридной модели: клеточного метода представления профиля и Монте-Карло вычислений потоков частиц плазмы является более полной. Можно отметить, что применение алгоритма Монте-Карло, резко увеличивает длительность расчетов, особенно при формировании канавок с высоким аспектным отношением.

Целью работы являлось разработка нового гибридного метода моделирования травления глубоких канавок в Si в циклическом, двухстадийном травление/пассивация процессе в плазме SF6/C4F8, с учетом механизма протекания реакций травления и осаждения на поверхности. Предлагается использование метода Монте-Карло для моделирования потоков частиц плазмы и клеточно-струнной модели представления профиля. Алгоритмы метода были запрограммированы в программном комплексе EDPS (Etch-Deposition Profile Simulator), для 2 1/2-мерного моделирования формирования глубоких, с высоким аспектным

Рис. 1. Развитие профиля канавки в 81 в плазме SF6/C4F8 в циклическом, двухстадийном травление-пассивация процессе. Результаты моделирования: (а) - вид после 1-ой изотропной стадии травления в плазме SF6; (б) - после 2-ой стадии пассивации в плазме C4F8; (в) - после 2-ой стадии травления ФУП и 81.

отношением (А > 20) канавок в 81. Результаты моделирования их профиля травления сравнивались с экспериментальными данными.

1. ЭКСПЕРИМЕНТ

Эксперименты по глубокому травлению 81 проводились в реакторе плотной плазмы SF6 + С^8, ВЧ-индукционного разряда. Схема реактора подробно приведена в работе [16]. Плазмохимиче-ский реактор состоит из разрядной и реакционной камер. Разряд зажигали в разрядной камере с помощью ВЧ-генератора (/= 13.56 Мгц, Ж = 1 кВт). Из разрядной камеры плазма диффузно распространялась в реакционную металлическую камеру, находящейся в магнитном поле катушки. В реакционной камере был расположен плоский водоохла-ждаемый ВЧ электрод, на который устанавливалась плоская А1 пластина-держатель образцов. Под А1 пластину с целью поддержания постоянной ее температуры под давлением 10 торр подавали гелий, который служил в качестве теплопроводя-щего агента. Для задания необходимого отрицательного потенциала смещения на электрод от отдельного ВЧ-генератора / = 13.56 Мгц, Ж = 600 Вт) подавали определенную мощность ВЧ-смещения.

Откачка газов осуществлялась турбомолекуляр-

ным насосом (400 л/c). Эксперименты проводили при давлении 1-5 Па, ВЧ мощности на индукторе 700 Вт. Суммарный расход газов не превышал 140 нсм3/мин.

Образцами служили пластинки монокристаллического Si с тонким слоем Cr (d = 0.05 мкм) в качестве маски. В слое этих материалов методом литографии была сформирована маска - система параллельных полос шириной 0.4, 0.5, 1 и 2 мкм. Эксперименты проводились с образцами небольших размеров (20 х 20 мм). Образцы крепились на вакуумной смазке на Al держателе. Температура образцов равнялась температуре охлаждаемого держателя. Время травления составляло 5-60 мин.

Плотность ионного потока определяли зондо-вым методом с помощью спектрально-зондового измерительного комплекса АСЗИК. Профиль канавок травления в кремнии наблюдали на растровом электронном микроскопе LEO 430 и оптическом микроскопе NEOPHOT. Скорость травления кремния определяли по глубине канавки, протравленной за известное время.

Параметры процесса были следующими: Стадия травления: Q(SF6) = 100 нсм3/мин; Q(C4F8) = = 10 нсм3/мин; исм = -60 В; P = 4.5 Па. Стадия пассивации: Q(C4F8)= 40 нсм3/мин; Q(SF6)=5 нсм3/мин; исм = -5.0 В; P = 1.2 Па.

2. ОПИСАНИЕ ЦИКЛИЧЕСКОГО, ДВУХСТАДИЙНОГО ПРОЦЕССА ТРАВЛЕНИЯ ГЛУБОКОГО ТРАВЛЕНИЯ Si В SF6/C4F8 ПЛАЗМЕ. МОДЕЛЬ ТРАВЛЕНИЯ Si В ПЛАЗМЕ SF6 И ИОННО-СТИМУЛИРОВАННОГО ОСАЖДЕНИЯ ПОЛИМЕРНОЙ ПЛЕНКИ В ПЛАЗМЕ ОД

Последовательность процесса формирования глубоких канавок с вертикальными стенками в 81 путем его травления через маску в циклическом, двухстадийном процессе в плазме SF6 + С^8 представлена на рис. 1. На первой стадии первого цикла, преимущественно в SF6 плазме, осуществляется с высокой скоростью изотропное травление 81. На второй стадии при смене состава плазмы на С^8 происходит осаждение фторуглеродной полимерной пленки (ФУП) на дно и стенки протравленной канавки. При изменении состава плазмы снова на SF6 на первой стадии второго цикла и последующих циклов осуществляется быстрое травление тонкой фторуглеродной полимерной пленки со дна канавки в результате ионно-стиму-лированного травления (рис. 1в) и далее происходит изотропное травление 81 (рис. 1г). (На рисунках показаны примеры моделирования первых стадий процесса.) Пассивация стенок канавки фторуглеродной пленкой препятствует сильному боковому травлению 81, но небольшой боковой уход размеров все же происходит. Поэтому в ре-

зультате таких повторяющихся двух стадий профиль стенки канавки травления принимает характерный с зазубринами вид.

2.1. Модель травления Si и ФУП в плазме SF6

Травление и ФУП на первой стадии происходит в плазме SF6 с фторуглеродной добавкой. Фторуглеродные радикалы присутствуют в плазме в результате травления ФуП на внутренних стенках реактора. ФУП осаждается на стенках в течение протекания второй стадии пассивации. В результате такого дополнительного потока продуктов реакций давление в реакторе становится выше, чем в случае, когда стенки реактора являются чистыми. Давление в реакторе при расходе SF6 = 100 нсм3/мин давление составляло 4.5 Па, тогда как при чистых стенках оно равнялось 2.1 Па. Скорость травления Si при этом составляла 4.2 и 7.6 мкм/мин, соответственно. Таким образом, наличие фторуглеродных радикалов в плазме приводило к уменьшению вероятности реакции атомов фтора с Si. Минимальный поток атомов фтора, направленный из плазмы на поверхность, можно оценить по скорости травления Si, полагая, что вероятность реакции атомов Si с F равна 1. Плотность потока радикалов равнялась, приблизительно, 5 х 1018 ат/см2с. При травлении Si в плазме SF6 вероятность реакции F с Si действительно близка к 1 и равняется 0.5 [15], 0.7 [12]. Она определялась как параметр процесса при моделировании скорости травления Si в узких каналах, когда травление Si лимитируется доставкой атомов фтора на дно канавки [15]. В нашем моделировании формирования глубоких канавок вероятность реакции травления Si при его взаимодействии с F определяли таким же образом. Наилучшее совпадение результатов моделирования профиля канавки с экспериментальными данными было при вероятности реакции 0.3 - 0.5. Высокая вероятность реакции Si-F наблюдается с подачей и без подачи ВЧ-смещения на подложку. Скорость травления Si в плазме SF6 не зависела от энергии бомбардирующих ионов, определяемой потенциалом смещения.

Скорость травления ФУП, напротив, возрастала почти в десять раз с увеличением потенциала самосмещения от плавающего потенциала до -90 В и равнялась 1.2 мкм/мин. Эти результаты свидетельствовали о сильном влиянии ионной

Для дальнейшего прочтения статьи необходимо приобрести полный текст. Статьи высылаются в формате PDF на указанную при оплате почту. Время доставки составляет менее 10 минут. Стоимость одной статьи — 150 рублей.

Показать целиком