научная статья по теме МОДЕЛИРОВАНИЕ ЭФФЕКТОВ ФОРМИРОВАНИЯ ГЛУБОКИХ КАНАВОК В КРЕМНИИ В ПЛАЗМОХИМИЧЕСКОМ ЦИКЛИЧЕСКОМ ПРОЦЕССЕ Электроника. Радиотехника

Текст научной статьи на тему «МОДЕЛИРОВАНИЕ ЭФФЕКТОВ ФОРМИРОВАНИЯ ГЛУБОКИХ КАНАВОК В КРЕМНИИ В ПЛАЗМОХИМИЧЕСКОМ ЦИКЛИЧЕСКОМ ПРОЦЕССЕ»

МОДЕЛИРОВАНИЕ ТЕХНОЛОГИЧЕСКИХ ПРОЦЕССОВ И ПРИБОРОВ

621.382

МОДЕЛИРОВАНИЕ ЭФФЕКТОВ ФОРМИРОВАНИЯ ГЛУБОКИХ КАНАВОК В КРЕМНИИ В ПЛАЗМОХИМИЧЕСКОМ ЦИКЛИЧЕСКОМ ПРОЦЕССЕ

© 2009 г. А. С. Шумилов, И. И. Амиров, В. Ф. Лукичев1

Ярославский филиал Физико-технологического института Российской АН Физико-технологический институт Российской АН E-mail: Lukichev@ftian.ru Поступила в редакцию 10.06.2009 г.

Приведены результаты компьютерного моделирования эффектов формирования профиля глубоких канавок в Si в циклическом, травление/пассивация процессе в плазме SF6/C4F8. Показано изменение профиля канавок в зависимости от одного из основных параметров процесса - отношения длительности стадий травления и пассивации при разных вероятностях реакций травления и осаждения фторуглеродной пленки. Определена чувствительность модели к этим параметрам. Проведено моделирование канавок с разным углом наклона, показана возможность управления профилем канавки путем изменения параметров в процессе формирования.

МИКРОЭЛЕКТРОНИКА, 2009, том 38, № 6, с. 428-435

УДК

PACS 52.77.Bn, 81.65.Cf

ВВЕДЕНИЕ

При создании многих приборов и устройств микросистемной техники необходимо получение высо-коаспектных (ВА) кремниевых микроструктур. Их формирование обычно осуществляется в циклическом, двухстадийном травление/пассивация процессе в плазме SF6/C4F8 или SF6/O2 ВЧ индуктивного разряда (Bosch-процесс) [1-5]. При этом, для получения канавки с вертикальными стенками необходимо сохранение на них строгого баланса между стадиями травления и пассивации [6-10]. В противном случае наблюдаются различные эффекты искажения их профиля - возникновение отрицательного и положительного наклона стенок канавки, выпуклость стенок. Анализ причин их возникновения показывает, что факторы, влияющие на их развитие, изменяются в зависимости от аспектного отношения канавки. Проследить особенности эволюции профиля канавки при его формировании можно с помощью моделирования такого процесса. Моделирование двухстадийного циклического процесса гораздо сложнее одностадийного, так как оно требует от модели более высокой вычислительной точности. При многократном повторении циклов процесса небольшая погрешность в вычислениях возрастает, что приводит к накоплению ошибок. Поэтому моделирование формирования канавок в Bosch-про-цессе в плазме SF6/C4F8 было довольно упрощенным. Так, в работах [11-15] не принимались во внимание многие определяющие факторы. В них не проводилось моделирование эффектов формирования канавок с разным углом наклона стенок и на-

блюдалось лишь качественное совпадение с экспериментальными данными [11-14]. При разработке метода моделирования необходимо проверять способность модели описывать всю совокупность экспериментальных данных, так чем больше эффектов описывает модель, тем более она приближена к реальному процессу.

Ранее в работе [15] была представлена 21/2 мерная, модель формирования глубоких с высоким ас-пектным отношением канавок в Si в циклическом травление-пассивация процессе в плазме SF6/C4F8. Модель основывалась на клеточно-струнном способе представления поверхности профиля и методе Монте-Карло для генерации потоков частиц плазмы. Алгоритмы метода были запрограммированы в программном комплексе EDPS (Etch-Deposition Profile Simulator). Данная работа является ее продолжением.

Цель работы - проведение моделирования формирования канавок с положительным и отрицательным углом наклона стенок, канавок с изменяемым профилем канавок в результате варьирования параметров процесса во времени, а также определение чувствительности модели к изменению параметров циклического процесса.

МЕТОДИКА И ОСНОВНЫЕ ПАРАМЕТРЫ МОДЕЛИРОВАНИЯ

В основе EDPS программы моделирования формирования глубоких, с высоким аспектным отношением канавок лежат модели ионно-стимулированно-

Линейный поток ионов 8Р+ —Г Плотность

8р:

ионного тока в плазме 8Б6 равнялась 0.4 мА/см2. Г8Р+ = ^ц.

Линейный поток ионов Ср - Г Плотность

ср;

ионного тока 112 в плазме С4Б8 равнялась 0.4мА/см Г^ = ^2^2.

ср:

го травления и осаждения фторуглеродной полимерной пленки (ФУП), травления 81 атомами фтора [15]. Основными внешними параметрами моделирования были длительность стадий травления и пассивации, их отношение, а внутренними параметрами, которые определяются параметрами плазмы, - потоки ионов и радикалов, энергия и угловое распределение падающих ионов, а также параметры зеркального отражения ионов от поверхности, вероятность реакции фтора с кремнием, коэффициенты ионно-стимулированного травления и осаждения фторуглеродной пленки. Численные их значения задавали, исходя из экспериментальных условий проведения процесса и анализа литературных данных. Определение чувствительности модели к изменению этих внутренних и внешних параметров моделирования проводилось на примерах изменения профиля канавки. Результаты моделирования сравнивались с экспериментальными данными.

Условия проведения процесса на каждой из стадий были следующими: Стадия травления. 0(8Б6) = = 100 нсм3/мин, 0(С4Б8) = 10 нсм3/мин, исм = -40-70 В. Р = 4.5 Па. Стадия пассивации: 0(С4Б8) = 40 нсм3/мин, 0(8Б6) = 5 нсм3/мин, исм = -5.0 В. Р = 1.2 Па.

Основными параметрами моделирования являлись:

Длительность стадий травления t1 и осаждения Ь2.

Линейный поток атомов фтора - Гр [нм-1]. Он определялся отношением числа падающих атомов фтора N на единицу длины профиля равной 1 нм в течение времени стадии травления Он связан с реальной плотностью потока атомов фтора 1р соотношением: Гр [нм-1] = 0.051р?1 [нм-2], где 0.05 - это размеры атома. Плотность потока атомов фтора была постоянной и равнялась 5 х 1017/см2 с. Ее определяли исходя из скорости изотропного травления 81 в плазме 8Б6 равной 3.6 мкм/мин. Это соответствовало плотности потока 3 х 1017/см2 с продуктов травления 81Б4. С учетом вероятности реакции атомов Б с 81, равной 0.8, 1р ~ 1 х 1018/см2 с. Отсюда Гр = АНАЛИЗ ЧУВСТВИТЕЛЬНОСТИ МОДЕЛИ

Коэффициент ионно-стимулированного осаждения ФУП изменялся в диапазоне 0.5-1.2. Подробно механизм ионно-стимулированного процесса приведен в [15].

Средняя энергия ионов Е, (эВ) определялась выражением:

ЕI = е(|исм-ипл|), где исм - отрицательный потенциал самосмещения. ипл - плавающий потенциал.

Среднеквадратичное угловое отклонение ионов - о,. Оно определялось выражением: от =

= аг^ (кТ/Е{ )0'5, где к-константа Больцмана, Т\ -температура ионов, Е - энергия ионов (эВ). Тепловую энергию ионов считали равной 0.1-0.4 эВ [8]. В зависимости от энергии ионов вычислялось их среднеквадратичное угловое отклонение, используемое в законе нормального распределения.

Вероятность травления 81 атомами фтора - Рг-81. Она изменялась в диапазоне 0.1-0.8.

Вероятность травления ФУП атомами фтора -а. Вероятность находилась в пределах 0.002-0.01 в зависимости от состава плазмы. Это согласуется с данными работ [23].

Вероятность прилипания радикалов СЕ2 - р. Вероятность реакции радикалов СБ2 с поверхностью 81 изменяли от 0.1 до 0.01, а вероятность их реакции с поверхностью ФУП была в 10 раз меньше [16, 17]. Низкая вероятность реакции полимеризации свидетельствовала, что осаждение ФУП - это ионно-сти-мулированный процесс.

= г1 х 500 [нм1].

Линейный поток радикалов - ГСР [нм-1] определялся аналогично. В модели ионно-стимулиро-ванного осаждения фторуглеродной полимерной (ФУП) пленки [15] полагали, что основными радикалами, из которых образуется полимерная пленка, являются радикалы Сб2. Это обычное приближение [16-18], хотя показано [19, 20], что основной вклад в рост пленки вносят тяжелые радикалы Ср (х, у > 3). Однако учесть их влияние на стадию осаждения не представляется возможным, так как неизвестны ни их потоки, ни вероятности реакции. Плотность потока радикалов СБ2 исходя из данных по осаждению в плазме С4Б8 ФУП [21], оценивалась равной 1 х 1017/см2 с.

Длительности стадий травления ^ и осаждения г2, а также их отношение (^Д2) являются одними из основных параметров процесса. Ими определяется скорость, степень анизотропности травления, а также такой характерный для Бош-процесса параметр, как глубина зазубрин на стенках канавки. Последняя характеристика профиля канавки зависит от времени стадии травления. На рис. 1 показано, что чем больше тем больше были зазубрины, хотя 1ф2 оставалось постоянным. С уменьшением ^ и ¿2 стенки канавки были более гладкими, но при этом происходило уменьшение скорости травления 81. Поэтому есть некоторая оптимальная величина Исходя из требования поддержания высокой скорости травления и гладкости стенок, длительность стадии травления ^ равнялась 6 сек. Она была такой

в численных экспериментах при определении чувствительности модели к изменению вероятности реакции полимеризации а и вероятности реакции травления ФУП атомами фтора р.

Чувствительность модели к данным параметрам определяли из сравнения изменения профиля канавки в зависимости от длительности стадии пассивации или t1/t2 при двух разных параметрах а1 и а2 (P-ronst) (рис. 2А и 2Б), Р1 и Р2 (а-const) (рис. 2Б и 2В) и измененных а и Р (рис. 2Г). Во всех тестах количество стадий было постоянным и равнялось 40. Средняя энергия ионов на стадии травления при этом составляла 60 эВ (-^см = 45 В), а на стадии осаждения -20 В (-исм = 5 В). а, = 1.2° , Т = 0.1 эВ. P^ = 0.8, K.as etell =

= Ki-as dep = 2.°.

Моделирование профиля канавки при вероятности реакций полимеризации а = 0.02 и вероятности реакции травления 0 = 0.002 показало, что с увеличением длительности стадии пассивации от 1 до 10 с, наблюдалось сильное изменение профиля канавки (тест А). При t2 = 1 с или при tx/t2 = 6 профиль канавки был близок к изотропному (рис. 2А-1). С уменьшением этого отношения профиль канавки становился более анизотропным (рис. 2А2-А4). Канавка с ровными вертикальными, немного расширяющими стенками образовывались при t2 = 4 c (рис. 2А3).

С дальнейшим уменьшением этого отношения наблюдалось сужение ширины канавки, а при = = 0.6 ее профиль становился уже треугольным, и травление прекращалось. В эксперименте при травлении канавок шириной 1 мкм наблюдалось такое же изменение профиля. При моделировании ширина канаво

Для дальнейшего прочтения статьи необходимо приобрести полный текст. Статьи высылаются в формате PDF на указанную при оплате почту. Время доставки составляет менее 10 минут. Стоимость одной статьи — 150 рублей.

Показать целиком