научная статья по теме ОЦЕНКА ЭНЕРГОПОТРЕБЛЕНИЯ ЛОГИЧЕСКИХ КМОП-СХЕМ ПО ИХ ПЕРЕКЛЮЧАТЕЛЬНОЙ АКТИВНОСТИ Электроника. Радиотехника

Текст научной статьи на тему «ОЦЕНКА ЭНЕРГОПОТРЕБЛЕНИЯ ЛОГИЧЕСКИХ КМОП-СХЕМ ПО ИХ ПЕРЕКЛЮЧАТЕЛЬНОЙ АКТИВНОСТИ»

МИКРОЭЛЕКТРОНИКА, 2012, том 41, № 1, с. 65-77

- СХЕМОТЕХНИКА

УДК 681.32

ОЦЕНКА ЭНЕРГОПОТРЕБЛЕНИЯ ЛОГИЧЕСКИХ КМОП-СХЕМ ПО ИХ ПЕРЕКЛЮЧАТЕЛЬНОЙ АКТИВНОСТИ © 2012 г. П. Н. Бибило, Н. А. Кириенко

Республика Беларусь, Минск Объединенный институт проблем информатики НАН Беларуси Поступила в редакцию 17.02.2011 г.

Рассматривается взаимосвязь энергопотребления и переключательной активности логических схем из элементов библиотеки проектирования заказных СБИС, изготовленных по КМОП-технологии. Для заданной последовательности наборов значений входных сигналов подсчитывается переключательная активность логической схемы — суммарное число переключений транзисторов, из которых состоят элементы схемы. Предлагается оценивать энергопотребление синтезированной логической КМОП-схемы по значению параметра ее переключательной активности без выполнения трудоемкого схемотехнического моделирования. Представлены результаты экспериментов, посвященных различным способам оценки энергопотребления комбинационных схем на этапе логического проектирования, проведено сравнение с результатами схемотехнического моделирования.

ВВЕДЕНИЕ

Одной из важнейших проблем при проектировании цифровых устройств является снижение их энергопотребления, а одним из основных критериев оптимизации при проектировании цифровых устройств — величина потребляемой энергии. Проблема снижения энергопотребления интегральных микросхем, на которых реализуется цифровое устройство, решается практически на всех этапах проектирования: от алгоритмического до топологического. Для снижения потребляемой мощности выбирают соответствующую синхронизацию, применяют асинхронные схемы, создают специальные библиотеки логических элементов, предлагают новые конструктивные и схемотехнические решения и т.д. При выборе проектных решений по схемной реализации цифровых блоков заказных СБИС существует необходимость оценки их энергопотребления на различных этапах проектирования.

В настоящей работе рассматривается проблема оценки энергопотребления различных вариантов схемных решений, возникающих на этапе логического проектирования в процессе оптимизационных преобразований логических схем — функциональных блоков СБИС, создаваемых из элементов библиотеки проектирования заказных СБИС, изготовленных по КМОП-технологии. Отличительной особенностью структуры КМОП по сравнению с другими МОП-структурами (М-МОП, Р-МОП) является наличие как п, так и ^-канальных полевых транзисторов, что обусловливает их высокое быстродействие и меньшее энергопотребление, однако КМОП-схе-мы характеризуются более сложным технологи-

ческим процессом изготовления. Тем не менее, подавляющее большинство современных логических микросхем используют технологию КМОП.

Предлагается оценивать энергопотребление логических КМОП-схем путем подсчета числа переключающихся транзисторов логических элементов схемы, а для этой цели использовать быстродействующее логическое моделирование. Результаты экспериментов показывают, что при таком подходе достигается весьма значительное сокращение времени моделирования, ошибка прогноза энергопотребления схемы составляет примерно 10% по сравнению со схемотехническим моделированием, что вполне удовлетворяет требованиям оценки проекта по критерию энергопотребления на этапе логического синтеза схемы.

1. ПЕРЕКЛЮЧАТЕЛЬНАЯ АКТИВНОСТЬ ЛОГИЧЕСКИХ КМОП-ЭЛЕМЕНТОВ

Для элементов, выполненных по КМОП-тех-нологии, рассматривают динамическую и статическую составляющие рассеиваемой мощности, основную долю которой составляет динамическая составляющая [1]. Мощность Ра, потребляемую логическим КМОП-элементом, можно вычислить по формуле [2]

Ра = \vCcfNaCa, (1)

где Усс — напряжение питания; / — максимальная частота функционирования; Са — выходная емкость элемента; N — активность переключения (среднее число изменения состояния выхода в течение одного цикла синхросигнала) элемента а.

Таблица 1. Характеристики элементов библиотеки проектирования

Имя элемента Функция элемента Базовый df

N Y= "A + 2

NA Y= "(A*B) + 2

NA3 Y = "(A*B*C) + 2

NA4 Y = "(A*B*C*D) + 2

NO Y= "(A+B) + 2

NO3 Y = "(A+B+C) + 2

NO4 Y = "(A+B+C+D) + 2

NOA Y = "((A*B)+C) + 2

NAO Y = "((A+B)*C) + 2

NOA3 Y = "((A*B*C)+D) + 2

NAO3 Y = "((A+B+C)*D) + 2

NO3A Y = "((A*B)+C+D) + 2

NA3O Y = "((A+B)*C*D) + 2

NOAA Y = "(A*B+C*D) + 2

NAOO Y = "((A+B)*(C+D)) + 2

NO3AA Y = "((A*B)+(C*D)+E) + 2

NA3OO Y = "((A+B)*(C+D)*E) + 2

NA3O3 Y = "((A+B+C)*D*E) + 2

NO3A3 Y = "((A*B*C)+D+E) + 2

NO3AAA Y= "((A*B)+(C*D)+(E*F)) + 2

NA3OOO Y = "((A+B)*(C+D)*(E+F)) + 2

A2 T = "(A*B) 4

Y = "T

A3 T = "(A*B*C) 4

Y = "T

O2 T = "(A+B) 4

Y = "T

O3 T = "(A+B+C) 4

Y = "T

NX2 Y = "A 4

IX1 T = "A 4

Y = "T

XOR2 T = "(A+B) 6

Y = "((A*B)+T)

XNOR2 T = "(A*B) 6

Y = "((A+B)*T)

IX2 T = "A 6

Y = "T

NX4 Y = "A 8

IX4 T = "A 10

Y = "T

Все параметры в правой части (1) за исключением Na являются постоянными для КМОП-техноло-гии [2], поэтому большую важность приобретает задача вычисления активности переключения логической схемы, состоящей из КМОП-элемен-тов, основываясь на переключательных активностях логических элементов, составляющих схему.

Используемая библиотека проектирования комбинационных блоков (подсхем) заказных СБИС содержит 32 комбинационных логических элемента, функции которых представлены в базисе И, ИЛИ, НЕ (табл. 1), и шести триггеров. Символ "+" обозначает логическое ИЛИ, символ "*" — логическое И, через "л" обозначена логическая операция НЕ (отрицание).

Комбинационные элементы имеют от одного до шести входов и один выход. Каждому элементу библиотеки соответствует своя транзисторная схема. Например, транзисторные схемы логических элементов N и А2 представлены на рис. 1.

Логические элементы, состоящие из композиции базовых элементов, будем называть сложными. Например, сложный логический элемент А2, реализующий функцию У = A & B, представляется в виде каскадного соединения двух базовых логических элементов: базового элемента NA, реализующего функцию Т = Л (А * В), и базового инвертора N (у = л (Т)). Элементы памяти — триггеры также представляются в виде сетей базовых комбинационных элементов. Очевидно, в таких сетях имеются обратные связи, в отличие от комбинационных логических сетей (схем), не имеющих обратных связей.

После анализа транзисторных схем комбинационных логических элементов библиотеки было установлено, что в них каждый вход КМОП-эле-мента соединен с парой транзисторов: я-МОП-транзистором и ^-МОП-транзистором. Транзисторы соединены так, что при изменении сигнала на каждом из входов (0 ^ 1, 1 ^ 0) один из них открывается, другой — закрывается. Число переключающихся транзисторов при изменении сигнала на одном из входов элемента библиотеки зависит от его электрической принципиальной схемы. Анализ схем КМОП-элементов библиотеки показал, что элементы библиотеки могут быть разделены на пять групп (табл. 1) по числу ^ = 2, 4, 6, 8, 10 переключающихся транзисторов при изменении сигнала на одном входе. Число ^ назовем параметром элемента.

Совокупность значений сигналов на я входных полюсах элемента назовем входным набором элемента. Входной набор удобно представлять двоичным вектором, каждый разряд которого соответствует одному входному полюсу. Мощность, потребляемая комбинационным логическим КМОП-элементом в одном такте функциониро-

A

0

Y

(a)

В

А

1

Y

(б)

Рис. 1. Электрические схемы элементов: (а) N ("НЕ"); (б) A2 ("И").

вания логической схемы, пропорциональна числу входов элемента, на которых происходит изменение сигнала при смене одного входного набора другим.

Предлагается оценивать энергопотребление комбинационного элемента значением его переключательной активности, под которой будем понимать число переключающихся транзисторов.

Переключательной активностью Sy элемента при смене входного набора i на входной набор j назовем число входных полюсов элемента (на которых изменяется значение сигнала), умноженное на параметр df элемента. Значение Sy для n-входового логического элемента может быть вычислено по формуле

Sj = df weight (Inpi Ф Inpj), (2)

где df — параметр элемента (табл. 1); Inpi, Inpj — n-компонентные булевы векторы, представляющие i-й и j-входные наборы; weight(a) — число единиц в векторе а, символ Ф обозначает операцию поразрядного сложения по модулю 2 двух векторов.

Например, для трехвходового логического элемента NAO (табл. 1) при смене набора i = (010) набором j = (111) переключательная активность составит Sy = 2-weight((010) Ф (111)) = 2 х 2 = 4, т.е. произойдет переключение четырех транзисторов.

2. ПЕРЕКЛЮЧАТЕЛЬНАЯ АКТИВНОСТЬ КОМБИНАЦИОННОЙ СХЕМЫ

Рассмотрим комбинационную логическую схему circ1 (рис. 2), которая состоит из элементов: А2, А3 — двухвходовый и трехвходовый элемент И,

соответственно; О2 — двухвходовый элемент ИЛИ; N — инвертор; ХОИ2 — "сумма по модулю 2"; МЛ — двухвходовый элемент И-НЕ. Имена экземпляров элементов в схеме — ёё1, ёё2, ..., ёё10. На вход схемы подаются сигналы х1, х2, х3; выходы схемы — 11, С, 13; внутренние переменные схемы —

Переключательная активность комбинационной логической схемы зависит от переключательной активности составляющих ее элементов и от теста — последовательности входных (тестовых) наборов. Число N наборов в тесте назовем длиной теста. Возможны различные варианты оценок переключательной активности схемы. Максимальная (или пиковая) переключательная активность характеризует пиковое значение потребляемой мощности, которое важно при решении проблем электромиграции и для определения размеров шин "земли" и питания. При рассмотрении других требований к схеме важными могут быть величина полной и средней переключательной активности. Полная переключательная активность — это число переключений транзисторов на всех N тестовых наборах, средняя переключательная активность равна величине полной переключательной активности, деленной на число N тестовых наборов. Каждый входной тестовый набор характеризуется своим числом переключений транзисторов в схеме, максимальное из этих чисел характеризует максимальную переключательную активность.

3. ПОДС

Для дальнейшего прочтения статьи необходимо приобрести полный текст. Статьи высылаются в формате PDF на указанную при оплате почту. Время доставки составляет менее 10 минут. Стоимость одной статьи — 150 рублей.

Показать целиком